“Realization of FPGA Logic Circuits from the VHDL Code”. Electrónica - UNMSM, no. 26, Dec. 2010, pp. 13-24, https://revistasinvestigacion.unmsm.edu.pe/index.php/electron/article/view/2838.