Revista Industrial Data 23(2): 151-167 (2020)

DOI: http://dx.doi.org/10.15381/idata.v23i2.18633

ISSN: 1560-9146 (Impreso) / ISSN: 1810-9993 (Electrónico)

 

Recibido: 03/09/2020 

Aceptado: 23/11/2020 

Publicado: 31/12/2020

 

TECNOLOGÍA R-IEDS PARA ERNC, TELETRABAJO Y MITIGACIÓN DE IMPACTO AMBIENTAL         

R-IEDS TECHNOLOGY FOR NCRE, TELEWORK AND ENVIRONMENTAL IMPACT MITIGATION

                                

 

Sandoval-Ruiz, Cecilia E.[1]

 

RESUMEN

 

En la presente investigación se desarrolló un modelo matemático para la aplicación de tecnología R-IEDs sobre FPGA en sistemas de potencia configurables, orientado al soporte de energías renovables, tele-trabajo y mitigación del impacto ambiental. El esquema está orientado al diseño de sistemas regenerativos, con ciclos de reutilización, reciclaje, reconfiguración dinámica y realimentación de subproductos o energía, en correspondencia con el modelo circular. El método propuesto está basado en un estudio de factores de impacto ambiental y las alternativas tecnológicas, para diseño y configuración de dispositivos electrónicos inteligentes, en un esquema distribuido, basado en FPGA para la actualización dinámica. Entre los resultados se encuentra la propuesta tecnológica desarrollada de integración de energías renovables, a través de las actividades remotas del sector energético, recuperación de calor residual y simplificación fractal basada en modelos escalables. Lo que permite concluir sobre la alta flexibilidad y eficiencia del modelo aplicando herramientas tecnológicas orientadas para mitigar el impacto ambiental e ingeniería sostenible.

 

Palabras Claves: FPGA-IEDs, Tele-Trabajo en Centrales Virtuales de ERNC, Industria 4.0, sistema móvil de monitoreo distribuido SMMD, Tecnologías para la Mitigación de Impacto Ambiental TMIA.

 

ABSTRACT

 

n this research, a mathematical model was developed for the application of R-IEDs technology on FPGAs in configurable power systems, aimed at supporting renewable energies, teleworking and mitigating environmental impact. The scheme is oriented to the design of regenerative systems, with cycles of reuse, recycling, dynamic reconfiguration and feedback of by-products or energy, in correspondence with the circular model. The proposed method is based on a study of environmental impact factors and technological alternatives, for the design and configuration of intelligent electronic devices, in a distributed scheme, based on FPGA for dynamic updating. Among the results is the technological proposal developed for the integration of renewable energies, through remote activities in the energy sector, waste heat recovery and fractal simplification based on scalable models. This allows us to conclude on the high flexibility and efficiency of the model by applying technological tools aimed at mitigating the environmental impact and sustainable engineering.

 

Keywords: FPGA-IEDs, Tele-Work in NCRE Virtual Centrals, Industry 4.0, mobile distributed monitoring system MDMS, Technologies for Environmental Impact Mitigation TEIM.

 

INTRODUCCIÓN

 

La inclusión de energías renovables en el nuevo modelo de desarrollo sostenible, así como la valoración de potencialidades, adaptación plantas industriales actuales para almacenamiento de energía, reciclaje de partes y equipos, representan una solución tanto en rentabilidad, que puede ser reinvertida en bio-remediación y programas socio-ambientales, como en recuperación de recursos, lo que hace la gestión más sostenible. Por otra parte, la adaptación de elementos inteligentes que se integren de forma colaborativa con los equipos de talento humano para optimización dinámica de los sistemas, de esa manera se logra un equilibrio entre automatización y tele-trabajo. Uno de los aspectos más importante a destacar es la aplicación de las nuevas tecnologías de forma ética.

 

Se han detectado algunos problemas que requieren propuestas tecnológicas para la solución integral:

 

o   El incremento de los residuos electrónicos y los retos que se presentan en los procesos de gestión, clasificación y reciclaje de estos. Por lo que se requiere de una propuesta tecnológica, para disminuir estos residuos y uso de materiales en componentes electrónicos, dando lugar a la alternativa presentada de aplicación de diseños sobre FPGA.

o   La actual demanda de tecnologías que permitan independizar al trabajador de una locación física, a fin de re-diseñar las actividades de manera remota, dentro de criterios de eficiencia y seguridad. Esto desde dispositivos de acoplamiento inteligente en sistemas industriales y robótica industrial.

o   El impacto ambiental de las actividades industriales y sector de energías convencionales, que requiere un monitoreo continúo, así como estrategias de remediación y reducción del I.A. que pueden ser manejadas en las etapas de diseño, a través de compensaciones y asignación porcentual de tecnologías de mitigación.

 

En este orden de ideas los IEDs han sido un tema de investigación, actualmente orientado hacia las redes inteligentes de energías, dando lugar al concepto de relés inteligentes, para la configuración del sistema de potencia híbrido, con características adaptativas. En esta investigación se plantean dos aspectos novedosos: La incorporación conceptual de R-IEDs, que permiten la configuración dinámica del dispositivo electrónico inteligente, dando además funciones de monitoreo, control y tele-configuración, para el soporte del manejo de los sistemas de potencia de forma remota. Solucionando así dos grandes retos actuales, tecnologías de soporte para el tele-trabajo en el área de ingeniería y electrónica reconfigurable para disminuir los residuos electrónicos producidos por el cambio tecnológico y actualización de los sistemas. Esto aporta a su vez, insumos para mitigar el impacto ambiental de las actividades industriales, permitiendo además la incorporación de energías renovables de forma eficiente, así como la aplicación de la tecnología FPGA para desarrollar el concepto de redes de energías renovables cognitivas y sus aportes en materia de tele-trabajo y mitigación de impacto ambiental.

 

Los avances tecnológicos deben fundamentarse en principios y criterios de diseño sostenible en el campo de la ingeniería aplicada. Desde un compromiso con la salud integral del planeta, protección de ecosistemas, eco-parques (Steckler et al. 2018) y los seres vivos que en él habitan (fauna urbana, silvestre, marina y flora nativa). Por tal motivo, se hace necesario un continuo monitoreo del impacto ambiental de los proyectos y eficiencia general de los sistemas diseñados, estimada en términos de mínimo el consumo de energía y recursos, selección de recursos renovables (respetando sus ciclos naturales) y materiales bio-degradables (sin aleaciones químicas sintéticas), reconfiguración dinámica de los sistemas (a través de diseños adaptativos, para superar la obsolescencia programada de la tecnología), revalorización de subproductos, reciclaje de calor residual, cosechamiento de energía y bio-remediación ambiental, a fin de alcanzar un modelo regenerativo. Una plataforma colaborativa para el tele-trabajo, se perfila como una herramienta para el control en equipo del cumplimiento de estos objetivos de sostenibilidad, así como la gestión simplificada, de forma remota, de los procedimientos asociados a optimización del sistema de potencia con ERNC.

 

A través de la tecnología de dispositivos electrónicos inteligentes sobre hardware reconfigurable (R-IEDs), para la configuración de elementos del sistema de potencia (Sandoval-Ruiz, 2018a) se busca la simplificación de las actividades de forma remota y la optimización dinámica del sistema para máxima eficiencia en los convertidores de energías renovables no convencionales ERNC y mitigación del impacto ambiental. Considerando a la vez, la estimación de consumo de potencia en el diseño de los IEDs (Sandoval-Ruiz, 2014).

 

El concepto de centrales virtuales de ERNC permite la configuración de equipos en una arquitectura distribuida (Sandoval-Ruiz, 2018a) y control dinámico de las microrredes (Sandoval-Ruiz, 2018b). Con esta tecnología se busca además que la actividad en ambiente virtual, resulte más eficiente que la actividad presencial, ahorrando tiempos de traslados, disminuyendo riesgos laborales, con herramientas tecnológicas al alcance de mejorar los procedimientos. Lo que deberá ser considerado desde la etapa de formación en nuevas tecnologías para el tele-trabajo, donde se adapten los procedimientos a estrategias colaborativas simplificadas y actualizando el talento humano, a la vez de conformar una unidad de contraloría y supervisión de los criterios ambientales.

 

Dada la nueva dinámica laboral, se observan nuevos retos respecto a la mitigación del impacto ambiental, la incorporación de ERNC, a partir de la infraestructura instalada y la eficiencia energética de las actividades, enmarcadas en la modalidad de tele-trabajo actual. La tele-operación de sistemas complejos, requiere de una plataforma tecnológica de soporte, para actividades remotas, que comprenda monitoreo en línea, digitalización de señales, procesamiento de imágenes, control distribuido, esquemas de energía cognitivos, seguimiento de condiciones en línea, entre otras características propias de la industria 4.0. Esto ha permitido detectar la necesidad de actualización de los esquemas de gestión de eficiencia y ambiental, así como comunicación de sistemas y equipos, lo que ha permitido considerar la arquitectura de la red dinámica aplicando IEDs, redes neuronales artificiales (Sandoval-Ruiz, 2020a) y hardware reconfigurable, como elementos de desarrollo de las nuevas tecnologías en el área.

 

En los trabajos previos, no se ha encontrado un diseño adaptativo, con capacidad de tele-configuración dinámica en función de consideraciones de impacto ambiental, en esta investigación se propone un modelo parametrizado con monitoreo de señales ambientales y eficiencia energética, lo representa un contribución, hacia el diseño de sistemas con criterios de sostenibilidad.

 

Es así como los IEDs configurados sobre FPGA (Field Programmable Gate Arrays), con alta capacidad de cómputo y flexibilidad, para la configuración de la red de ERNC, puede ser aplicada como una tecnología de mitigación de Impacto Ambiental (TMIA), introduciendo una simplificación del modelo de red de energía, bajo criterios de optimización de recursos, bajo consumo de agua, ahorro de tiempo y disminución de costos, lo que aumenta sus ventajas en implementación. La digitalización y nuevas tecnologías R-IEDs (Sandoval-Ruiz, 2020a) planteadas permiten conocer e interactuar con el modelo de una central virtual distribuida y un laboratorio remoto de capacitación, combinando estrategias de hardware/software, lo que ofrece la posibilidad de replicar los resultados y ampliar su aplicación en otros lugares. Una matriz energética carbón-free tendrá un impacto positivo en la disminución de residuos contaminantes en producción de energía y en las actividades de mantención, lo que permitirá una migración escalonada, con desarrollo tecnológico adaptado a los nuevos retos. La aplicación de tecnología híbrida de conversión fotovoltaica de concentración HCPV, desarrolladas en  Sandoval-Ruiz (2020b), (2020c) y termo-solar, disminuyen los costos de implementación  por el ahorro en material fotovoltaico, basados en estas alternativas más eficientes, que combinan heliostatos de mantenimiento simplificado, al igual que almacenamiento de calor, lo que permite aplicar intercambiadores y recuperadores de calor para realimentar al sistema y hacerlo más eficiente. La etapa de captación de CO2 y otros equipos de la central, que tendrán una función alternativa, en los nuevos proyectos sostenibles DeCOX. Donde se destaca la re-utilizabilidad, realimentación y reciclaje selectivo.

 

La hipótesis planteada corresponde a que si se incorpora un modelo flexible de configuración de los dispositivos electrónicos inteligentes, formulado en relación a variables ambientales, y orientado a tele-trabajo en ERNC, se logrará definir una estructura de código y un esquema adaptativo, que permita avanzar en los nuevos retos hacia la sostenibilidad. Todo esto con el objetivo de diseñar un modelo descriptivo (con ecuaciones matemáticas), a partir del análisis de factibilidad de tecnología FPGA y NTE en un esquema híbrido de energías renovables, mitigación de impacto ambiental y tele-trabajo.

 

Tecnología PSO basada en Inteligencia de Enjambre

 

La tecnología de Inteligencia de enjambre (Martinez-Cagigal y Homero, 2017), y los algoritmos (PSO: Particle Swarm Optimization) para optimización por enjambre de partículas (Molina y Leguizamón, 2015), éstas basadas en el estudio del comportamiento colectivo de los sistemas descentralizados, auto-organizados, naturales o artificiales. Inspirados por la naturaleza, especialmente por ciertos sistemas biológicos, típicamente formados por una población de agentes simples que interactúan localmente entre ellos y con su medio ambiente. Los agentes siguen reglas simples y, aunque no existe una estructura de control centralizado que dictamine el comportamiento de cada uno de ellos, las interacciones locales entre los agentes que conducen a un comportamiento complejo.

 

Tecnología R-IEDs de Dispositivos Electrónicos Inteligentes

 

Los R-IEDs pueden ser aplicados para Mitigación de Impacto Ambiental en la Industria 4.0 y la nueva dinámica de tele-trabajo resulta de gran importancia, a fin de optimizar los procesos bajo criterios de sostenibilidad. En el marco de la actualización tecnológica que se adelanta en la matriz energética, se ha detectado la necesidad de nuevos procedimientos más eficientes y con menor impacto ambiental, en los nuevos sistemas de potencia con integración de energías renovables. Esta propuesta comprende estrategias de diseño de la plataforma hardware (Sandoval-Ruiz, 2016a), control neuronal (Sandoval-Ruiz, 2019b) contenidos técnicos, tareas tele-operadas y estandarización de procedimientos de mantenimiento, bajo criterios de reutilización de recursos, bajo consumo de recursos no renovables y eficiencia energética, aplicado a un plan de capacitación técnica más ecológico. Estudios previos, reportan la depreciación del conocimiento a raíz de las nuevas tecnologías, en el área de energía eólica se estima éste en un 10% y en energía solar alrededor de un 30% al año (Grubler y Nemet, 2014), por lo que es necesario aplicar medidas que permitan hacer frente a la velocidad de cambio de la tecnología en el sector eléctrico con energías renovables. En Strasser et al. (2013) se presenta la configuración en línea de parámetros de la red a través de IEDs, empleando las normas IEC61850 y IEC61499 (Zhu et al., 2017), para la comunicación, establecimiento de condiciones de interrupción y reconexión en relés inteligentes, para el acoplado de secciones de la red. Adicionalmente, para la adaptación se plantea la traducción de programación del DCS en configuración inteligente con VHDL sobre FPGA. Reconfiguración Parcial Dinámica sobre FPGA para Smart Grid Cognitiva

Se selecciona la tecnología para el diseño con criterios sostenibles de los componentes del IEDs, a través de FPGA para dotarlos de características configurables de forma parcial dinámica (Torrego et al., 2012), destacando la condicionalidad para mejorar la eficiencia y adaptarse a los avances en tecnología. Estas características asociadas a diseños de sistemas radio cognitivo, pueden ser extrapolados a los sistemas de potencia (Ghassemi, Bavarian y Lampe, 2010), (Zhang et al., 2012) que sean programables y configurables por software. En la figura 1, se presenta la clasificación de los dispositivos, para su configuración se selecciona el modo Modular, con componentes parametrizables, diseñados de forma selectiva, en relación a las condiciones.

 

 

Figura 1. Clasificación de modos de configuración de los IEDs
Fuente: Elaboración Propia

 

Las estadísticas de las toneladas de residuos electrónicos que se generan por año y sus estimaciones son reportado por el observatorio mundial de los residuos electrónicos (Baldé et al., 2017), estos datos presentan un incremento asociado a la obsolescencia programada y se estima un 52.2 MT para el 2021, lo que requiere de la aplicación de estrategias efectivas, una de ellas corresponde al hardware electrónico reconfigurable, que permite de manera flexible su actualización en el tiempo y adaptación de la tecnología. Esto corresponde a un ahorro de materiales y minerales (López et al., 2019) que deben ser reciclados a partir de los residuos eléctricos y electrónicos RAEE, a fin de minimizar el impacto ambiental  del e-waste. Existen dos estrategias en esta materia una de estas corresponde a los procedimientos de clasificación y reciclaje y por otra parte la reducción de los  WEEE (Waste Electrical and Electronic Equipment), donde los fabricantes de equipos pueden rediseñar orientados a componentes reemplazables y actualizables. Estas consideraciones han llevado a la selección de tecnología FPGA, dada por su capacidad de cómputo y flexibilidad, permitiendo la reconfiguración dinámica de los dispositivos, característica de interés para soportar las actualizaciones de estos en la red distribuida, disminuyendo así los residuos electrónicos asociados a la migración de tecnología, optimizaciones, etc.

 

Tecnología SLAM para vehículos  autónomos y tele-operados

 

La Localización y Mapeo Simultáneo (SLAM) es una tecnología que permite a un dispositivo mapear su entorno mientras se posiciona en éste, permitiendo la transición de Vehículos Guiados Automatizados (AGV) y Robots Móviles Autónomos (AMR) en el espacio industrial. Esto a través de un Sistema de Ubicación en Tiempo Real (RTLS) en estos primeros años de la Industria 4.0, por lo que es esencial que los AMR puedan mapear dinámicamente su entorno inmediato usando la tecnología SLAM. De esta manera, los robots, drones, y elementos inteligentes industriales requerirán capacidades SLAM, para garantizar su tele-operación segura. La gran cantidad de datos generados por los diversos sensores en estos dispositivos, requieren alta capacidad de procesamiento y de baja latencia. En este sentido, los dispositivos de procesamiento de cómputo avanzado se perfilan como una alternativa eficiente, basados en configuración VHDL sobre dispositivos FPGA. A lo que se puede incorporar visión artificial y cámaras termográficas, para inspección de condiciones del sistema.

Donde se aplican redes neuronales artificiales sobre hardware (Aamer y Ramachandran, 2017), se incorporan tecnologías de vehículos aéreos autónomos UAV (Huang, Wang, y Zhuang, 2019), para navegación en espacios según la aplicación (Kumaar et al., 2018), (IEEE, 2019), (Poza et al., 2017), localización y mapeo simultáneo SLAM (Duque y Sandoval, 2012), (Berenguer et al., 2017), para combinar con esquemas tele-operados (Moreno y Ceballos, 2019), en aplicaciones de tecnologías 4.0 (Saucedo y Regalao, 2020). Entre las aplicaciones con carácter ambiental se tiene la atención de incendios forestales, como los presentados por (Madridano et al., 2020), aplicando tecnologías de vehículos aéreos no tripulados UAVs, ya que estos pueden acceder a lugares remotos de manera rápida y segura, a fin de proteger los ecosistemas en riesgo. Estos mecanismos emplean sensores (ópticos y térmicos), cámaras, procesadores embebidos de altas prestaciones para el procesamiento de información en el propio dron, siendo estos últimos implementables sobre dispositivos FPGA por su capacidad de cómputo paralelo.

 

Por otra parte, el diseño de estos UAVs puede ser configurable para su adaptación a las condiciones específicas, según la aplicación. En este sentido, (Sanchez-Fontes et al., 2020) desarrolló un modelo dinámico, de estructura modular, con un rotor único orientable para la ejecución de diferentes modos de vuelo y la propiedad inherente del sistema que lo hace estable por construcción.

 

Tecnología de Gestión de Calor Residual

 

Los Recuperadores de calor industrial en centrales, intercambiadores entre equipos industriales y gestión de calor regenerativo, se ha venido aplicando en diferentes proceso de plantas energéticas, sin embargo nuevas tecnologías en el área buscan mejorar la eficiencia y producir electricidad a partir del calor residual (EcoInventos, 2020), donde se afirma que “Hoy en día, gran parte del calor generado por el sector industrial se desperdicia, según las estimaciones, la industria pierde entre el 20 y el 40% de la energía que consume”, la solución que han desarrollado se basa en la recuperación del calor residual y su transformación en electricidad a través de almacenamiento térmico móvil, en un contenedor metálico lleno de cerámica fabricado a partir de co-productos industriales.

 

Estas tecnologías pueden ser aplicadas para módulos de enfriamiento industrial y ERNC: equipos como paneles fotovoltaicos, turbinas eólicas, entre otros. Bombas de calor industrial aire-refrigerante/ opciones para capturar el calor residual. Intercambiadores de calor regenerativo. Almacenamiento térmico solar (Kashyap et al., 2019). Para la gestión de calor residual, se consideran los avances en tecnologías de enfriamiento de los módulos fotovoltaicos, tal como se reporta en Salem et al. (2019), la solución con refrigerantes pasivos se ha implementado en sistemas fotovoltaicos de tejado y en plantas de energía solar terrestres, permite un aumento anual de la generación de energía de entre el 8% y el 12%. La unidad refrigerante comprende una bomba de corriente continua, válvulas, sensores de caudal y tuberías de conexión debajo del panel. Paneles bifaciales y bi-funcionales, con función de protección ambiental, incorporando bombas de calor. RCR Recuperadores de Calor Regenerativo o calor Residual de la central de energía, además de integrar el concepto de bomba de calor para mejorar la eficiencia funcional.

 

Tecnologías de Redes Distribuidas de ERNC

 

En Bordons, Garcia-Torres, y Ridao (2020) consideran las microrredes como tecnología clave para dotar a los sistemas eléctricos de suficiente flexibilidad para una transición energética, basada en fuentes renovables, a través de MPC (Model Predictive Control) y técnicas de control distribuido para optimizar las conexiones a la red, a través de PCC (Point of Common Coupling), en los que se estudia el balance de energía por cada fuente de generadores de ERNC, unidades de almacenamiento de ERNC y la eficiencia energética en carga de la microred.

 

SDFV Fotovoltaica definida por software, arreglos fotovoltaicos inteligentes, FVC Fotovoltaica cognitiva. Usando tecnología FPGA, matriz de relés de conmutación, electrónica de potencia reconfigurable, polarización adaptativa de lentes ópticos (concentración / filtrado), entre otros, se puede definir esta tecnología, así mismo meta-materiales de propiedades configurables. El objetivo es diseños con el menor impacto ambiental, reutilización de componentes y neutralización de calor.

 

Tecnología de WebLab para Capacitación y Actualización Remota

 

Para la selección de los cursos de capacitación y actualización con tecnología de robótica teleoperada (Gómez, García y Ollero, 2006), se consideran una serie de criterios básicos, relacionando la frecuencia de los mantenimientos, equipos críticos, nuevos equipos que conforman las centrales de energías renovables, así como el impacto de la capacitación técnica: eficiencia, costos de mantenimiento, riesgos del hardware (que será actualizado o reciclado, según los conceptos previamente discutidos), impacto ambiental de emisiones, riesgo del personal. Al momento de establecer las jerarquías colaborativas, para consolidar la plataforma de tele-trabajo, se deberán definir los criterios y prioridades para la toma de decisión basada en normas, con respaldo del personal, de forma remota. Estos criterios han sido resumidos en  la Tabla 1.

 
Tabla 1. Criterios de Diseño de las Tecnologías R-IEDs

 Criterios

Soporte para el Tele-trabajo y Mitigación de Impacto Ambiental

      Tecnología

Cero Emisiones

Remediación

R.C.R

Eficiencia Energética

Control de Riesgos

5

5

10

15

20

25

Renovable

4

4

8

12

16

20

Reconfigurable

3

3

6

9

12

15

Biodegradable

2

2

4

6

8

10

Bajo consumo

1

1

2

3

4

5

Colaborativo

1

2

3

4

5

Fuente: Elaboración Propia
 

Tecnología de soporte para Tele-Trabajo Colaborativo

 

Las tecnologías de tele-trabajo, comprende estaciones tele-operadas, con módulos de inspección, configuración de parámetros, control, optimización y mantenimiento, estos de acuerdo a programas formulados para las actividades, la calibración o ajuste fino de los sistemas, protocolos de pruebas, así como un módulo de mantenimiento con mecanismos robóticos para la tele-intervención de los equipos, en una gestión colaborativa (aplicando los conceptos de trabajo coordinado y seccionado de etapas del sistema), donde se sumen los aportes de especialistas, con las estimaciones de eficiencia, coordinación de jerarquía colaborativa (para asignación de funciones y criterios de decisión), y configuración dinámica a través de los dispositivos inteligentes.

 

Tecnologías Robótica Colaborativa

 

El estudio de tareas colaborativas ha sido ampliamente investigado en los procesos de enseñanza/aprendizaje, en esta oportunidad, el interés está en el proceso de capacitación de los mantenedores en tareas tele-operadas, pensado en su participación en equipos coordinados, para la intervención de equipos y sistemas a través de una plataforma digitalizada y mecanismos robóticos teledirigidos. Entre las premisas está disminuir los riesgos eléctricos entre otros de seguridad laboral, donde diversos protocolos y procedimientos de consignación de equipos, pueden ser coordinados con el uso del sistema automatizado, garantizando la seguridad y optimización de tiempo en los procesos, aplicando técnicas de colaboración entre el personal.

 

Se presenta un concepto innovador al definir sistemas configurables de energías con reutilización de componentes, ciclos de regeneración y recirculación para eficiencia energética. Estas herramientas aplican en los planes de convertir una planta de energía, en centrales virtuales con nueva tecnología, a fin de lograr un importante aumento de la eficiencia y reducir las emisiones. Desarrollando así el concepto de plantas de energía digitalizadas, que permitan la integración remota de los equipos de la red de energía inteligente.

 

Los dispositivos propuestos pueden manejar las señales de parámetros del equipo, procesar los datos de control, para transmitir las señales monitoreadas a la estación de ingeniería remota, para la toma de decisiones, con arquitectura de jerarquía del control tele-operado, para la gestión de los elementos inteligentes. Los modelos de control neuronal, entrenamiento de redes en hardware y sus aplicaciones se presentan en [4], siendo un insumo para la implementación de aplicaciones basadas en ANN. El modelo digitalizado, a través de los dispositivos desarrollados a partir de R-IED electrónicos reconfigurables, que permitirán evaluar el rendimiento, comparar la eficiencia, con análisis y asesoramiento remotos de expertos (sin costos asociados con la transferencia). En el caso de los equipos instalados, se permitirá la adquisición de señales, la identificación y el modelado del sistema a través de redes neuronales, para realizar tareas de mantenimiento y operación remota. Todo esto sumado a la estrategia de actualización del personal de la compañía, garantizando su continuidad y el desarrollo sostenible. El diseño de estas herramientas creará un nuevo modelo y perfiles de trabajo, en esquemas sobre la nube, para soportar la plataforma; ingeniería de aprendizaje supervisada, con validación por expertos para capacitar a las redes neuronales configuradas en los R-IED, consultas remotas y otras formas de teletrabajo, lo que aumenta la eficiencia operativa de la empresa, permitiendo ahorros en los costos de reparación, gracias al sistema inteligente y la colaboración de talentos de forma distribuida.

 

En Valero-Moro, Bonilla-Turmero y Sandoval-Ruiz (2017) se ha desarrollado una estación de robótica tele-operada, la cual tiene como objetivo ofrecer una herramienta didáctica para un laboratorio en el área de robótica. Consta de una plataforma de hardware con tecnología inalámbrica de altas prestaciones, una interfaz de administración del laboratorio, y una interfaz de control de la estación, basada en un enlace cliente-servidor para la conexión remota, lo que permite compartir la plataforma para estudios e investigación.

Por otra parte, en estudios recientes se ha implementado un nuevo sistema de análisis predictivo, en los parques eólicos, para el mantenimiento de las turbinas en función del comportamiento de vibraciones de estos equipos, el ahorro en los costos de las  reparaciones puede alcanzar desde el 15% hasta 95 % donde la automatización y el Machine Learning son los elementos clave del sistema (Ecoinventos, 2018). Estos datos permiten validar el potencial de implementar modelos de análisis predictivo, basado en entrenamiento de redes neuronales y diagnóstico de fallas en equipos rotativos, para el monitoreo remoto.

 

Los IEDs permitirán manejar la información de sensores, elementos de monitoreo de condiciones, así como el diagnóstico de equipos interconectados a la red, a través de sus parámetros, a fin de mantener un control inteligente. Esto permite a su vez el manejo de robótica industrial configuración de elementos finales de control y mantenimiento robótico de equipos, entre ellos paneles solares, acciones remotas sobre sistemas y equipos, para ajuste de parámetros en línea.

El concepto de Movilidad Sostenible se asocia directamente al Objetivo de Desarrollo Sostenible (ODS) 11: Ciudades y Comunidades Sostenibles, y a su meta específica 11.2, esto considerando que el sector transporte contribuye con un alto porcentaje de las emisiones globales de gases de efecto invernadero (GEI). En tal sentido, la disminución de transporte diario para compromisos laborales representa una solución alternativa.

 

METODOLOGÍA

Entre los métodos se maneja la adaptabilidad a las nuevas tecnologías, usando para ello módulos electrónicos selectivos con coeficientes ajustables, eliminación de elementos de riesgo para la fauna local, disminución de consumo de agua y energía en procedimientos industriales, reutilización de recursos con tecnología de hardware circular e insumos reciclables. Estas técnicas van dirigidas al eco-desarrollo urbano (Sandoval-Ruiz, 2020e, 2018c) y su extrapolación al sector industrial hacia modelos sostenibles, partiendo de la investigación tecnológica, micro-conversión de energía eléctrica a partir de fuentes de energías renovables y los conceptos de ciudad inteligente, en los que se incorpora bit data y control de dispositivos en línea. En la temática energética, algunos métodos de mitigación de impacto ambiental basados en nuevas tecnologías emergentes NTE se presentan en la Tabla 2.

 

Tabla 2. TMIA en Energías Renovables

Tipo de Fuente

Métodos de mitigación

Objetivo

Descripción de la Propuesta de Aplicación

Solar

Termo- Solar de Concentración

Aumentar Eficiencia

Diseño de Campos solares con concentradores

Simplificar Mtto.

Concentradores encapsulados con superficie frontal plana

Fotovoltaica HCPV

Aumentar Eficiencia

Encapsulados en Campos solares híbridos

Cinética

Piezoeléctrica (Presión de contacto)

Mejorar rendimiento

Campos con flexibles piezoeléctricos, de habilitación secuencial con control dinámico para optimizar la disposición.

Eje giratorio

Uso de movimiento

Gimnasio de entrenamiento en complejos deportivos

Eólica

Vibraciones inducidas

 

Disminuir Impacto ambiental

Alternativa a las turbinas eólicas convencionales, por vibración de eje vertical

Hidráulica

Mareomotriz, micro-HE

Aprovechamiento de flujo de agua en ambientes no intervenidos

Regenerativa

Biomasa, RSU

Aprovechamiento de elementos residuales para conversión de energía eléctrica a partir de residuos sólidos urbanos.

Fuente: Elaboración Propia.

 

El diseño de sistemas cada vez más simplificados, permitirá disminuir la intervención sobre la naturaleza en procesos industriales. Todo esto con el propósito de orientar los objetivos del desarrollo en ingeniería hacia la sostenibilidad, respetando los ciclos regenerativos de la naturaleza y manteniendo sistemas bio-inspirados para el uso eficiente de los recursos, de forma mínimamente invasiva. Para el modelo y la propuesta de mitigación, se formula el análisis de factores presentados en la Tabla 3.

 
Tabla 3. Estudio de T.M.I.A del esquema ERNC.
Estimación de Impacto Ambiental
Reversibilidad

Recuperación / Mitigación

Actividad 
Factores Ambientales
Técn.
δ-IED
Estrategia
Descripción
 
 
 
 
 
 
 
Conversión de ERNC
Calidad del aire (emisión de material particularizado)
 
 
Filtros
Precipitador Electrostático
Contaminación de agua superficial / subterráneas
 
 
Reciclaje de RAEE
Intervención de ecosistemas / curso de ríos, etc.
 
 
NTE
Fotovoltaica Flotante
Alteración de paisajes / Erosión de suelo
 
 
Remediación
Reactores de Algas
Pérdida de vegetación / Flora nativa / cultivos
 
 
Recuperación de Espacios
Riesgo de incendio forestales
 
 
Monitoreo con VANT
Desplazamiento de especies locales
 
 
Reservas Protegidas
Alteración de suelos, recursos naturales 
 
 
Plegables
Paneles Retráctiles
Consumo de Agua en los procesos de limpieza
 
 
Técnicas free
Limpieza con aire comprimido
Consumo Energético en actividades / fabricación
 
 
Optimización
Aplicación de ERNC
Capacidad de Renovación de materias primas
 
 
Minimizar uso de materiales
Re-utilización de subproductos / Obsolescencia
 
R-IEDs, FPGA
Hardware Reconfigurable
Residuos en Producción / Desmontaje
 
 
Smart Sist.
Programas de Reciclaje
Generación de empleo / Tele-Trabajo
 
 
Act. Remota
Tele-Trabajo
Impacto de la calidad de vida de las especies
 
 
Protección de Hábitats
Producción de Emisiones de Calor Industrial
 
R.C.R
Recuperadores de calor
Contaminación Electromagnética
 
 
Estudios E.M.
Gestión de partes, repuestos, etc.
 
 
NTE
Componentes reemplazables
*Se ha considerado el impacto de cada proyecto de energía renovable
Fuente: Elaboración Propia.
 

Es importante destacar que en E.IA. permite definir los parámetros de configuración, en este caso se han considerado factores no convencionales de emisiones de calor industrial al ambiente, que deben ser recuperados para su reutilización en intercambiadores de calor regenerativo y contaminación electromagnética, que puede ser manejada a través de cosechadores de energía. Muchos estudios no consideran estos factores que deben ser gestionados de forma eficiente, para evitar la disipación de calor y ondas electromagnéticas con impacto ambiental sobre la fauna, polinizadores y otros seres vivos.

 

Para el estudio de técnicas:

-       Identificar el potencial de desarrollo energético, a través de estudio de estimación de energía renovable, para revalorizar espacios locales, sin intervenir espacios naturales y hábitat de flora y fauna.

-       Criterios de sostenibilidad y respeto por los ecosistemas, en áreas protegidas para proyectos de energía.

-       Aplicar herramientas científicas para minimización de impacto: proyectos de ERNC según los parámetros de estimación de impacto ambiental, para la evaluación de casos.

-       Evaluar los proyectos de energía, priorizando la naturaleza y ecosistemas, donde se pueden re-diseñar  techos replegables, tecnologías flotantes, ERNC no invasivas, entre otras.

-       Considerar la adaptabilidad en el tiempo.

 

A partir del estudio se han identificado los aportes de cada alternativa de energía renovable y los porcentajes en la propuesta (Ver Figura 2), así como la disminución de emisiones de calor y contaminantes, con la implementación del tele-trabajo para disminuir el uso de combustibles fósiles en materia de movilidad. Se identifican técnicas como la reducción, a partir de disminuir los aspectos de impacto ambiental, la remediación, a través del reciclaje y la migración, usando NTE, a fin de realizar una acción preventiva, donde se corrige el impacto ambiental desde la etapa de desarrollo sostenible y diseño eco-responsable.

 

Figura 2. Análisis de aportes de la propuesta tecnológica de Mitigación de Impacto Ambiental
Fuente: Elaboración Propia
 

En la propuesta híbrida de técnicas y tecnologías se asignan porcentajes a cada una las alternativas, para alcanzar una mitigación del impacto ambiental de forma conjunta, considerando aspectos como la simplificación, tal es el caso de la iluminación solar para disminuir consumo eléctrico, el caso de aplicaciones con tecnología termosolar para el sector industrial con requerimientos de energía térmica y un componente fotovoltaico, donde se deben remediar impactos asociados a la energía en la fabricación de los paneles, residuos electrónicos RAEE, utilización de espacios por baja densidad de conversión de energía, movilización del personal técnico en actividades de control y mantenimiento, entre otros aspectos. Es importante destacar, que a partir del estudio y el modelo propuesto, se ha definido un diseño híbrido, con aportes ponderados, estos valores pueden ser optimizados de forma dinámica, estimando el impacto ambiental de forma dinámica y reconfigurando el aporte de cada etapa, técnica de optimización sobre las variables de estudio y las NTE.

 

RESULTADOS

Uno de los aportes corresponde a una propuesta de tecnologías, bajo un esquema de condiciones de impacto ambiental a solucionar, con incidencia en el sector energético. La incorporación de nuevas tecnologías éticas en el sector industrial podrán reducir las emisiones contaminantes y emisiones de calor al ambiente, migrar hacia las tecnologías limpias y energías renovables, aumentar la eficiencia energética, diseñar con criterios de sostenibilidad, estrategias de compensación de carbono y remediación, actividades de los trabajadores más sostenibles como el tele-trabajo.

 

Mitigación de Impacto Ambiental por Movilidad para trabajo presencial:

 

ü  R-IEDs para soporte del Tele-Trabajo, a fin de minimizar la movilidad diaria de trabajadores y las emisiones de GEI asociadas al transporte urbano, aéreo para reuniones de trabajo, atención a instalaciones en sitios remotos, adaptando a tele-trabajo y movilidad sostenible (Sandoval-Ruiz, 2016b).

ü  Tecnologías de tele-presencia, basadas en monitoreo con cámaras móviles sobre rieles teleféricos, robótica industrial, localización y mapeo simultáneo en vehículos no tripulados (drones).

 

Mitigación de Impacto Ambiental por Emisiones de partículas contaminantes en proceso industriales:

 

ü  Diseño de filtros de geometría fractal, precipitadores elestrostáticos, filtros auto-limpiantes, para captura regenerativa de materiales particularizados, manejando conceptos de redes neuronales artificiales en la clasificación de los materiales filtrados y ecuaciones matemáticas de filtros.

 

Mitigación de Impacto Ambiental por Emisiones de calor industriales:

 

ü  Modelos de  R-IEDs para la configuración de recuperadores de calor regenerativo, considerando el impacto ambiental del calor residual (en procesos industriales, conversión de energía, movilidad, entre otros), para su re-absorción y re-aprovechamiento industrial.

ü  Bombas de absorción de calor ambiental y su aplicación en procesos industriales, climatización, procesamiento térmico, entre otras aplicaciones.

 

Mitigación de Impacto Ambiental por Fuentes de Energías Convencionales:

 

ü  Modelos de ERNC, acopladas de forma dinámica adaptados a través de R-IEDs.

ü  Re-conectores Inteligentes R-IEDs.

ü  Simplificación de esquemas de trabajo con flexibilidad FPGA en VHDL.

 

Un conjunto de criterios y técnicas de remediación ambiental han sido analizados en (Sandoval-Ruiz, 2019a), donde se analizan las alternativas para protección de los recursos naturales: tecnologías de filtrado, fitoremediación, aplicación de algas marinas, tiempos de recuperación, clasificación inteligente y reutilización de materiales y componentes, eficiencia termodinámica y recuperación de calor ambiental para protección de glaciares y áreas forestales, reconfiguración dinámica de los sistemas de potencia, tecnología circular, protección de hábitat y ecosistemas de flora y fauna, empleo soportado en teletrabajo y actualización tecnológica, educación ambiental, monitoreo en línea de condiciones del sistema y soporte distribuido. Algunos aspectos de las técnicas de adaptación se presentan en la Tabla 4.

 

Tabla 4. Adaptabilidad para Mitigación de Impacto Socio-Ambiental

Métodos de Adaptación

Mitigación de Impacto Socio-Ambiental

Estrategias

Tecnologías

Técnica

Social

Ambiental

 

Tele-Educación

Laboratorios Remotos aplicando IEDs

Actualización Talento Humano

 

 

Actualización en técnicas de Tele-Trabajo y minimización de riesgos

 

 

Disminuir la movilidad y contaminación de aire

Tele-Monitoreo (Supervisión Industrial)

Cámaras Móviles Distribuidas

En Guías de Teleférico

VNT con giroscopio

 

Tele-Trabajo

Plataforma Industria 4.0 Remota aplicando IEDs

Actuadores Inteligentes

Robótica Industrial

Soporte ERNC / EIA

ERNC Cognitiva

R-IEDs en DCS

Energías limpias

Fuente: Elaboración Propia.

 

Alternativas Tecnológicas de Mitigación de Impacto Ambiental y Sostenibilidad Energética.

 

-          Tecnología de termografía aérea con drones e inteligencia de enjambre para la detección de condiciones de falla y mantenimiento preventivo en redes eléctricas inteligentes (Smart Grid). Revisar condiciones especiales y de seguridad para programación de procedimientos tele-operados.

-          Paneles de geometría fractal para filtros de partículas de 5 nm. con tecnología CNN de redes neuronales ANN para la separación, clasificación y remediación de material particularizado y agentes químicos.

-          Redes neuronales CNN y RNN para reconocimiento de especies de fauna urbana, local (autóctona), silvestre, marina y comportamiento de biodiversidad, en protección de ecosistemas ambientales.

-          Evaluación de impacto ambiental de procesos industriales de conversión de energía eléctrica y sus alternativas de mitigación.

-          Cosechamiento de energía eléctrica en equipos de nueva generación.

 

Uno de los primeros pasos consiste en el estudio de los programas académicos para Smart Grid, estos deben ser adaptados a las nuevas necesidades de formación remota, a fin de actualizar el talento humano para las actividades de tele-trabajo en el área técnica de energías renovables. Los conceptos de redes inteligentes de energías distribuidas y centrales virtuales están asociados en las características de soporte remoto de monitoreo, control, tele-mantenimiento de sistemas industriales y configuración on line de equipos y arreglos de convertidores de energía, lo que da lugar a los conceptos de Centrales Virtuales de Energías Renovables – CVER y Cerebro Virtual de gestión de Energía CV-ERNC, para estación remota de control tele-operado, a fin de garantizar los empleos y revalorizar el talento humano.

 

Propuesta de Tecnologías R-IEDs

 

La propuesta de innovación consiste en la incorporación de R-IEDs, implementados sobre dispositivos FPGA, para cada elemento de conversión, actuadores y componentes del modelo de red de energía inteligente. Cada uno de los R-IEDs tiene un modelo neuronal de control autónomo, que a su vez representa una neurona del sistema, todos estos trabajan de manera colaborativo, conformando una especie de red neuronal o cerebro virtual de módulos neuronales distribuidos, que se coordinan de manera auto-organizada, para la gestión eficiente de los recursos, potencial de energía y demanda, bajo criterios de sostenibilidad como objetivos de decisión del sistema interconectado. Igualmente, la tecnología R-IDE permitirá implementar una red de robótica de servicio para recolección y distribución funcional. (1) Distribución de insumos en ambientes industriales o urbanos, bajo normas de bio-seguridad y control de riesgos, (2) Recolección de residuos eléctricos y electrónicos clasificados, con el objetivo de gestionar su reutilización, (3) Filtrado regenerativo para garantizar calidad de aire. Siendo una de las características más resaltantes la posibilidad de configuración de las aplicaciones: Robótica de servicio reconfigurable, Tele-formación en energías renovables no convencionales, Aplicaciones de RNA en ERNC, Mantenimiento regenerativo de sistemas de potencia, Laboratorios itinerantes de ERNC para capacitación remota. De esta manera, se obtuvieron las descripciones en VHDL de los modelos generalizados de redes neuronales, se entrenó una red neuronal obteniendo como resultado una tabla de características con la que se valida el comportamiento del sistema, como se muestra en la Tabla 5.

 

Tabla 5. Descripción VHDL de R-IEDs en Red DNS – GDRTU

-- Componentes de la Red de Control y Comunicación

port capor_residual: in std_logic_vector (12 downto 0);
        aporte_PV: out std_logic_vector (7 downto 0);

IED_GD: GDRTU port map (ctrl1, ctrl2,ctrl3);

 -- coordinación de GD generación distribuida de ERNC          

-- PCC acoplamiento, relé inteligente de cada etapa

IED_TS: TSRTU   port map  (ent1, ctrl1, relé1); 

IED_PV: PVRTU  port map  (ent2, ctrl2, relé2); 

IED_E:     ERTU   port map  (ent3, ctrl3, relé3);

-- Aportes de los convertidores ERNC distribuidos (% IED)

-- Se definen elementos, configuraciones adaptativas:
aporte_PV   <= IED_PV * Red *factor_PV;
If calor_residual > 0.25*Ep them
     sist_rcr <= ‘1’; end if;     --enciende recuperador de calor 
when cond_eólica=’1’ and cond_solar=’1’ and cond_T=’0’ then
 

-- Capas componentes del DSN de la red Smart Grid.

-- monitoreo de señales  Digitalizadas y Límites lógicos:

Wind_act <= not (IA_Fauna and (IA_Paisaje or Cons_RNR));

-- por Impacto Ambiental desacoplar convertidor Eólico

PV_act <= Tele_com and (not Em_calor);

-- sincronización entre fuentes ERNC:

sinc <= PV_act or Wind_act;

-- Simulación:

Fuente: Elaboración Propia.

 

La descripción de R-IEDs amplía el alcance de las tecnologías sostenibles, permitiendo incorporar ERNC a la matriz energética.

 

Prueba de Hipótesis

La descripción de componentes en función de parámetros (propios del sistema) permite una generalización, a fin de soportar una arquitectura adaptativa, con lo que se ha podido validar el esquema para la descripción matemática del sistema de potencia cognitivo (adaptados a las condiciones del entorno), basado en R-IED, se ha logrado así el modelo reconfigurable que permite la implementación definida por software SDR.

 

En la hipótesis se planteó definir un modelo flexible de configuración de los dispositivos electrónicos inteligentes, formulado en relación a variables ambientales, y orientado a tele-trabajo en ERNC, a través de una estructura de código y un esquema adaptativo, que permita avanzar en los nuevos retos hacia la sostenibilidad. En este sentido, se ha logrado contrastar la posibilidad de rediseño de los IEDs con un enfoque flexible sobre hardware reconfigurable, a partir de los códigos de soporte y las ventajas de optimización de los sistemas de energías renovables de forma dinámica, para el desarrollo de nuevos avances en ciencia sostenible.

 

Los resultados han sido generalizados en un esquema híbrido de ERNC, donde el modelo paramétrico soporta la descripción de etapas específicas, sobre la misma base de comportamiento. Estos se pueden extender a cualquier aplicación en el área de sistemas de energías, además de soportar la configuración parcial de elementos de forma dinámica, simplificando así las actividades remotas y permitiendo la optimización para casos particulares, sobre dispositivos estándar FPGA con código abierto. Destacando el comportamiento del sistema centralizado virtual, ya que no existe una central, sino un conjunto de convertidores de energía distribuidos, igualmente no existe un control central, sino un control inteligente distribuido y una estación de monitoreo y operación remota, basada en inteligencia distribuida de elementos.

 

DISCUSIÓN

La contribución del presente trabajo a la línea de investigación corresponde al conocimiento de las nuevas tecnologías de hardware reconfigurable en el sector de energías renovables, tele-trabajo y mitigación de impacto ambiental, integradas en IED reconfigurables. El modelo puede ser generalizado, a través de adaptaciones a la aplicación y condiciones específicas, partiendo de un estudio de impacto ambiental se pueden asignar los aportes de cada tecnología, de forma dinámica y de manera remota, logrando así optimizar los sistemas de potencia, en un enfoque sostenible de tecnología 4.0.

 

Estrategias de Responsabilidad Social Empresarial – RSE

 

Luego de estudiar las técnicas de optimización de los sistemas fotovoltaicos, se debe analizar el impacto de la migración hacia ERNC. El primer punto es la reutilización de recursos, a través de un plan de rotación de activos, donde se puedan adaptar las centrales termoeléctricas a carbón, en centrales de almacenamiento de energías renovables no centralizadas, ampliando así el modelo de producción a nuevas tecnologías y mitigación del impacto ambiental, como eje central de la migración. Seguidamente, se plantea la adaptación del modelo de soporte técnico, para energía renovables distribuidas y plataforma para soporte de instalaciones de bio-remediación ambiental, para promover la instalación, gestión de eficiencia energética y mantenimiento en alianza con la infraestructura disponible (redes eléctricas de distribución) para energías renovables.

Es así como se integran los conceptos socio-ambientales con aspectos tecnológicos como los R-IEDs, los elementos inteligentes pueden ser implementados como puntos de acoplamiento o ajuste de  parámetros del sistema de potencia configurables, en la arquitectura dinámica, para soportar la guía en línea de los usuarios para disminuir el consumo de energía en un porcentaje significativo, selección de dispositivos con alta eficiencia energética y otras estrategias de sostenibilidad. Una plataforma tecnológica a nivel de red de energía, puede contribuir en el monitoreo del perfil de consumo de energía y recursos hídricos, para una gestión más eficiente, a la vez de orientar y proveer del conocimiento y soporte para mejorar la dinámica existente hacia la sostenibilidad.

 

Modelo Matemático para R-ERNC

 

Comprende la ecuación matemático donde se describe la interacción de los elementos del sistema de potencia para ERNC, con capacidad de reconfiguración dinámica y realimentación de energía residual, a fin de obtener propiedades regenerativas de alta eficiencia, sistemas neuro-adaptativos, aplicando IEDs sobre FPGA en un modelo estándar para descriptores de código abierto.

 

La función de configuración de la matriz de conmutación de conexiones del arreglo de ERNC, expresadas en el aporte de corriente (1).

 

                                                         

Por otra parte, se considera la función de enfriamiento del panel con recuperación de calor regenerativo, expresado por (2), para el modelo de Ingeniería Inversa para Control de Transmisión de Calor.

 

                                                                     

Reconfiguración de sistemas, en base  módulos actualizados con reingeniería funcional, que requieren de un modelo ingeniería inversa para diseñar el entonado inteligente STS Smart Tuning System (Tuning industrial PID controllers) de los esquemas de control correspondientes. Luego de la implementación se requieren establecer los nuevos parámetros de los controladores, donde se hace necesario definir un modelo en función de las nuevas señales a monitorear, se define el conjunto de datos y se procesan hasta obtener un modelo matemático, que permita realizar los ajustes del controlador. Entre las aplicaciones se pueden considerar aerogeneradores inteligentes, monitoreo de vibración de los elementos rotativos, rodamientos de levitación magnética, geometría variable para máxima eficiencia, arreglos fotovoltaicos bifaciales, seguimiento MPPT con optimizador centralizado, reconfiguración del arreglo FV. La investigación de técnicas de optimización de sistemas resulta un tema relevante por los requerimientos de hacer estos más eficientes, a la vez de minimizar los costos de implementación y demanda de mantenimiento.

 

De la misma manera, el modelo puede ser implementado como un sistema de optimización móvil, que permita dar servicio al arreglo de ERNC, de forma flexible y con tecnología reconfigurable. Algunas ecuaciones han sido desarrolladas en Sandoval-Ruiz (2020d) para energía solar, se ha extrapolado para diversos convertidores de ERNC, modificando los parámetros en el modelo (ec.3) se pueden generalizar los resultados para diversas aplicaciones.

 

Se observa así un modelo híbrido de realimentación lineal. Donde la energía total del sistema ERNC con calor regenerativo, será el aporte de cada convertidor de energía, con un selector ponderado representado por el elemento de acople R-IED, representado por δ del componente correspondiente, la ganancia de cada rama  ERNC viene dado por la lógica de control del IED en relación a los parámetros ambientales, condicionales, configuración remota y eficiencia de conversión. Para el modelo se han considerado los aportes de energía solar para nS elementos en el arreglo, la energía eólica para nE convertidores, cada una ponderada por el concentrador físico del montaje, tal es el caso de los convertidores eólicos donde se puede incorporar un concentradores de flujo, para aumentar la ganancia del convertidor o turbina eólica y , la energía en las unidades de almacenamiento para nA, siendo estos configurados de forma bidireccional, como carga durante el almacenamiento y como fuente durante el suministro de energía a la micro-red. Finalmente, se considera el aporte de energía térmica residual (TR) en el instante n-1, que será realimentada al modelo de energía. Es importante resaltar que el modelo puede ser adaptado para otras aplicaciones industriales, en las que se puede manejar la configuración óptima en eficiencia energética para el sistema. Los coeficientes δ por cada aporte son configurados en el dispositivo IED, en este modelo se pueden habilitar de forma selectiva la incorporación a la red de cada rama, mediante el acoplado (PCC) de cada conversor de energía, se incorporan los elementos de almacenamiento para aire comprimido, calor regenerativo, o el método de almacenamiento de ERNC seleccionado, con ponderación de la realimentación al sistema circular de energía, presentado en la Figura 3.

 

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Figura 3. Esquema Conceptual de los Sistemas IEDs en la Energías Renovable 4.0
Fuente: Elaboración Propia.
 

En el esquema observamos los R-IEDs como los elementos de acoplamiento bi-direccional de energías renovables y su optimización dinámica, en relación a las condiciones y demanda energética. A nivel centralizado se pueden implementar tecnologías de Recuperación de Calor Industrial, biomasa (a partir subproductos de post-cosecha), gestión de residuos sólidos, Termo-Solar de Concentración y Aire Comprimido AES, todo esto aplicando el potencial de la infraestructura existente y rediseñando las redes de distribución (cero Impacto Ambiental). A la vez el diseño de una plataforma distribuida de micro-convertidores/aplicaciones de energía neumática y térmica, de forma directa o indirecta., con el objetivo de disminuir el consumo de energía eléctrica. Estas tecnologías deben ser implementadas en conjunto con técnicas de remediación ambiental, recuperación de espacios verdes, paneles fito-remediación para descontaminación del aire y agua, para alcanzar los objetivos de desarrollo sostenible. Así mismo, los sistemas de monitoreo y soporte para tele-trabajo deberán ser diseñados con autonomía energética, incluyendo en el diseño mecanismos de seguridad para evitar riesgos en las tareas tele-operadas, a fin de contar con un compromiso entre el control distribuido configurado en los IEDs y la experiencia del talento humano en la coordinación de tareas, con gestión colaborativa (talento humano y elementos inteligentes remotos), garantizando los empleos del personal.

CONCLUSIONES

De esta manera, se han revisado un conjunto de tecnologías y sus avances en el tiempo, para la adaptación a la propuesta de tele-trabajo y mitigación de impacto ambiental en el campo de la ingeniería. El método estudiado para mitigación del impacto ambiental consistió en un diagnóstico, para determinar las tecnologías aplicables, a fin de obtener resultados más eficientes. Los resultados presentan un diseño novedoso, que replantea las soluciones ambientales a las condiciones cambiantes, con la tecnología de hardware actual. Se ha logrado generalizar un concepto teórico, además de los modelos matemáticos orientados a mejorar la eficiencia de los sistemas de energías renovables, la propuesta ha sido generalizada para abarcar un conjunto de ERNC y puede ser expandida a nuevas aplicaciones, siendo relevante para el objetivo de la presente investigación. Se han considerado los casos de centrales virtuales de energías renovables, estos resultados pueden ser extrapolados a diversas áreas de la Industria 4.0. Así se ha logrado concluir que la adaptación de estas tecnologías a dispositivos de hardware reconfigurable aporta grandes ventajas sobre el diseño, además de superar así la obsolescencia programada, permitiendo la actualización, minimizando así los residuos electrónicos y reutilizando sus materiales.

 

Incorporando estas tecnologías de forma ética, bajo principios de sostenibilidad, se busca disminuir el impacto sobre el medio ambiente, simplificando y minimizando todas las actividades que demandan consumo de recursos no renovables, con un compromiso socio-ambiental RSE, en pro de mejorar las condiciones ambientales, con programas de protección a la fauna, bioremediación del entorno, tecnologías de mitigación del impacto ambiental, uso racional del suelo y recursos, eficiencia energética, disminución de emisiones de calor residual al ambiente,  captación de calor y recuperación de calor industrial, para reciclaje energético, entre otras estrategias. Este trabajo presenta un estudio de impacto ambiental generalizado para diversos proyectos de ERNC, una revisión de tecnologías y propuesta de adaptación tecnológica, a través del concepto de optimización por enjambre de R-IEDs, con modelo de energías regenerativa como aporte matemático.

 

Otro aspecto que se considera es el compromiso ambiental, conocimiento y simplificación de los procedimientos y protocolos automatizados de seguridad ambiental, en lo que corresponde al nuevo modelo de realimentación lineal con R-IEDs, que permite programar las estrategias de mitigación de impacto ambiental, de forma adaptativa. Los avances estudiados en estándares de comunicación para tele-operación industrial, los diseños de hardware con ANN para la configuración de esquemas inteligentes, que se comporten como elementos activos sincronizados con autonomía básica y tele-soporte, logrando así superar los retos actuales, en materia de capacitación y re-valorización del talento humano. Donde, es importante resaltar la necesidad de diseñar sistemas industriales regenerativos, inspirados en los procesos naturales, con el objetivo de mantener un compromiso en la gestión y post-procesamiento de residuos industriales, con el mínimo impacto ambiental. Los procedimientos de Estudio de Impacto Ambiental, deben ser incorporados en la matriz de decisión de los elementos inteligentes, priorizando así la responsabilidad ambiental y la mitigación del impacto ambiental, con técnicas de bio-remediación, entre otras.

 

Se deben definir los principios que coloquen en el eje de desarrollo los modelos de energía circular, sostenibilidad de la materia prima en los procesos productivos, revalorización de residuos y regeneración sistemática. Entre ello simplificar los procesos para obtener resultados integrales y bio-éticos, de respeto por la naturaleza, estableciendo tiempos de descanso y regeneración, formulados según las condiciones ambientales. Considerando el estudio de las potencialidades del entorno, aplicación de alternativas de bio-sintesís (con algas marinas), fito-remediación de la calidad del agua, aire y recursos naturales. Todo esto en diseños tecnológicos inspirados en la naturaleza, para la preservación del equilibrio de los ecosistemas, promoviendo así la recuperación de espacios verdes, a través de huertos urbanos, jardines verticales, como la mejora de condiciones ambientales en reservas forestales, glaciares y océanos, con tecnologías de uso eficiente de recursos naturales para producción de energía sostenible, de forma respetuosa, responsable y consciente, en un modelo colaborativo para la calidad de vida y armonía de todos los seres vivos.

 

REFERENCIAS

1.    Aamer, N., y Ramachandran, S. (2017). Hardware realization of neural network based controller for autonomous robot navigation. In 2017 International Conference on Computing Methodologies and Communication (ICCMC), 243-248. IEEE.

2.    Baldé, C., Forti, V., Gray, V., Kuehr, R., y Stegmann, P. (2017). Observatorio Mundial de los Residuos Electrónicos 2017 Cantidades, Flujos, y Recursos. Universidad de las Naciones Unidas (UNU), Unión Internacional de Telecomunicaciones (UIT) y Asociación Internacional de Residuos Sólidos (ISWA), Bonn/Ginebra/Viena. Recuperado de: https://www. itu. int/en/ITU-D/Climate-Change/Documents/GEM, 202017.

3.    Berenguer, Y., Payá, L., Ballesta, M., Jiménez, L. M., Cebollada, S., y Reinoso, Ó. (2017). Algoritmo de SLAM utilizando apariencia global de imágenes omnidireccionales. Actas de las XXXVIII Jornadas de Automática.

4.    Bordons, C., Garcia-Torres, F., y Ridao M.A. (2020). Model predictive control of interconnected microgrids and with electric vehicles. Revista Iberoamericana de Automática e Informática Industrial, 17, 239-253. https://doi.org/10.4995/riai.2020.13304

5.    Contreras, A., y Urdaneta, M. (2020). Rectenas para el Cosechamiento de Energia de los Sistemas de Comunicaciones en RF: Una Revision. Revista Tecnica, 43(2), 98-110. https://doi.org/10.22209/rt.v43n2a05

6.    Duque, C., y Sandoval, C.. (2012). Tendencias en Localización y Mapeo Simultáneo (SLAM). Congreso Iberoameticano Estud. Ingeniería Eléctrica - V CIBELEC, 12-17.

7.    Ecoinventos (2020). Módulos de almacenamiento térmico industrial. Eficiencia Energética. Recuperado de https://ecoinventos.com/eco-stock/

8.    Ecoinventos (2018). Un sistema que puede reducir hasta un 95% las reparaciones en aerogeneradores. Energía Eólica. Recuperado de https://ecoinventos.com/sistema-reparaciones-en-aerogeneradores-endesa/  

9.    Ghassemi, A., Bavarian, S., y Lampe, L. (2010, October). Cognitive radio for smart grid communications. In 2010 First IEEE International Conference on Smart Grid Communications (pp. 297-302). IEEE.

10. Gómez G, J. M., García C, A. J., y Ollero Baturone, A. (2006). Teleoperación y telerrobótica. Madrid, España, Pearson Educación.

11. Grubler, A., y Nemet, G. F. (2014). Sources and consequences of knowledge depreciation. Energy Technology Innovation: Learning from Historical Successes and Failures, 133-45. Cambridge.

12. Huang, H., Gu, J., Wang, Q., y Zhuang, Y. (2019). An Autonomous UAV Navigation System for Unknown Flight Environment. In 2019 15th International Conference on Mobile Ad-Hoc and Sensor Networks (MSN), 63-68. IEEE.

13. IEEE (2019) Neural Network Teaches Drones to Navigate Cities Autonomously. IEEE Xplore. Recuperado de https://innovate.ieee.org/innovation-spotlight/neural-network-autonomy-drone-navigation/#utm_source=Facebook&utm_medium=social&utm_campaign=Innovation&utm_content=drone?LT=CMH_WB_2020_LM_XIS_Paid_Social

14. Kashyap, V., Sakunkaewkasem, S., Jafari, P., Nazari, M., Eslami, B., Nazifi, S., ... y Ghasemi, H. (2019). Full Spectrum Solar Thermal Energy Harvesting and Storage by a Molecular and Phase-Change Hybrid Material. Joule, 3(12), 3100-3111.

15. Kumaar, S., Sangotra, A., Kumar, S., Gupta, M., & Omkar, S. N. (2018). Learning to navigate autonomously in outdoor environments: Mavnet. arXiv preprint arXiv:1809.00396.

16. López, M., Hernández, J., Villanueva, S., y Hernández, M. (2019). Tierras raras, un valor oculto en los residuos de aparatos eléctricos y electrónicos (RAEE), Universidad de Los Andes.

17. Madridano, Á., Campos, S., Al-Kaff, A., García, F., Martín, D., Escalera, A. (2020). Unmanned aerial vehicle for fire surveillance and monitoring. Revista Iberoamericana de Automática e Informática Industrial 17, 254-263. https://doi.org/10.4995/riai.2020.11806

18. Martinez-Cagigal, V., y Hornero, R. (2017). Selección de Canales en Sistemas BCI basados en Potenciales P300 mediante Inteligencia de Enjambre. Revista Iberoamericana de Automática e Informática industrial, 14(4), 372-383.

19. Molina, S., y Leguizamón, G. (2015). Algoritmos de inteligencia de enjambres orientados a Map Reduce. In XXI Congreso Argentino de Ciencias de la Computación (Junín, 2015).

20. Moreno, S. A. M., y Ceballos, N. D. M. (2019). Vehículos de guiado autónomo (AGV) en aplicaciones industriales: una revisión. Revista Politecnica, 15(28), 117-137.

21. Poza Lujan, J. L., Posadas Yagüe, J. L., Tipantuña Topanta, G. J., Abad, F., & Mollá, R. (2017). Arquitectura distribuida para el control autónomo de drones en interior. Actas de las XXXVIII Jornadas de Automática.

22. Salem, M. R., Elsayed, M. M., Abd-Elaziz, A. A., y Elshazly, K. M. (2019). Performance enhancement of the photovoltaic cells using Al2O3/PCM mixture and/or water cooling-techniques. Renewable Energy, 138, 876-890.

23. Sanchez-Fontes, E., Avila Vilchis, J.C., Vilchis-González, A.H., Saldivar, B., JacintoVillegas, J.M., y Martínez-Méndez, R. (2020). New Stable by Construction Autonomous Aerial Vehicle: Configuration and Dynamic Model. Revista Iberoamericana de Automática e Informática Industrial 17, 264-275. https://doi.org/10.4995/riai.2020.11603

24. Sandoval-Ruiz, C. (2020a). LFSR-Fractal ANN Model applied in R-IEDs for Smart Energy. IEEE Latin America Transactions, 18(04), 677-686. https://doi.org/10.1109/TLA.2020.9082210

25. Sandoval-Ruiz, C. (2020b). Arreglo Inteligente de Concentración Solar FV para MPPT usando Tecnología FPGA. Rev. Técn. Ing. Universidad del Zulia. 43 (3), 122-133. https://doi.org/10.22209/rt.v43n3a02

26. Sandoval-Ruiz, C. (2020c). Proyecto Cometa Solar–CS para Optimización de Sistemas Fotovoltaicos. Universidad Ciencia y Tecnología, 24(100), 74-87. http://uctunexpo.autanabooks.com/index.php/uct/article/view/307

27. Sandoval-Ruiz, C. (2020d). Arreglos fotovoltaicos inteligentes con modelo LFSR-reconfigurable. Revista Ingeniería UCR, 30(2), 32-61. DOI 10.15517/RI.V30I2.39484

28. Sandoval-Ruiz, C. (2020e). Arquitectura Fractal Reconfigurable - AFR basada en Tecnologías Sostenibles y Energías Renovables. REC Perspectiva, 16(8).

29. Sandoval-Ruiz, C. (2019a). Plataforma de Investigación de Redes Eléctricas Reconfigurables de Energías Renovables aplicando Modelos LFSR. Universidad Ciencia y Tecnología, 23(95), 103-115.

30. Sandoval-Ruiz, C. (2019b). Modelo VHDL de Control Neuronal sobre tecnología FPGA orientado a Aplicaciones Sostenibles. Ingeniare. Revista chilena de ingeniería, 27(3), 383-395.

31. Sandoval-Ruiz, C. (2018a). Códigos Reed Solomon para sistemas distribuidos de energías renovables y smart grids a través de dispositivos electrónicos inteligentes sobre tecnología FPGA. Memoria Investigaciones en Ingeniería, (16), 37-54.

32. Sandoval-Ruiz, C. E. (2018b). Control de Micro-Redes de Energía Renovable a través de estructuras LFCS Reconfigurables en VHDL. Ciencia y tecnología, 18, 71-87.

33. Sandoval-Ruíz, C. (2018c). Arquitectura Reconfigurable y Redes Inteligentes aplicadas al Diseño Sostenible en Smart City. Revista Electrónica Científica Perspectiva, 7(12), 1–19.

34. Sandoval-Ruiz, C. (2016). Plataforma de Gestión, Investigación y Formación en Tecnologías Sostenibles, para soporte de un Laboratorio Remoto. Revista Eduweb, Universidad de Carabobo, 10(1), 79–92. Recuperado de http://servicio.bc.uc.edu.ve/educacion/eduweb/v10n1/art06.pdf

35. Sandoval-Ruiz, C. (2016). Plataforma reconfigurable de investigación aplicada a movilidad sostenible. Rev. Universidad, Ciencia y Tecnología, 20(78), 35-41. http://www.scielo.org.ve/pdf/uct/v20n78/art03.pdf

36. Sandoval-Ruiz, C. (2014). Power consumption optimization in Reed Solomon encoders over FPGA. Latin American applied research, 44(1), 81-85.

37. Saucedo M. J., y Regalao N. C. (2020). Feasibility in the implementation of 4.0 technologies in the intralogistic activities of Logistic Operators of the Department of Atlántico, a look towards the organizational efficiency. EAI Endorsed Transactions on Energy Web, 1-9. doi:10.4108/eai.13-7-2018.163983

38. Steckler, D., Nava, C., Duarte, J., Zambrano, J., y Sandoval, C. (2018). Design of Neural Networks on microcontrollers, applied in functional modules for an eco-park. Revista Ingeniería UC, 25(1), 50–60. Retrieved from http://servicio.bc.uc.edu.ve/ingenieria/revista/v25n1/art06.pdf

39. Strasser, T., Andrén, F., Lehfuss, F., Stifter, M., y Palensky, P. (2013). Online reconfigurable control software for IEDs. IEEE Transactions on Industrial Informatics, 9(3), 1455-1465.

40. Torrego, R., Val, I., Muxika, E., Iturbe, X., y Benkrid, K. (2012). Implicaciones del uso de la reconfiguración parcial dinámica de las FPGAs en la implementación de Radios Definidas por Software. Proc. III Jornadas de Computación Empotrada (JCE2012), Elche (Spain).

41. Valero-Moro, J., Bonilla-Turmero, Y., & Sandoval-Ruiz, C. (2017). Estación tele-operada de robótica móvil, para el laboratorio de micro-controladores. Universidad Ciencia y Tecnología, 21(83), 69-75.

42. Zhang, Y., Yu, R., Nekovee, M., Liu, Y., Xie, S., y Gjessing, S. (2012). Cognitive machine-to-machine communications: Visions and potentials for the smart grid. IEEE network, 26(3), 6-13.

43. Zhu, Z., Xu, B., Brunner, C., Yip, T., y Chen, Y. (2017). IEC 61850 configuration solution to distributed intelligence in distribution grid automation. Energies, 10(4), 528.



[1] Facultad de Ingeniería, Universidad de Carabobo, Consultora independiente, Carabobo, Venezuela.        ORCID:        https://orcid.org/0000-0001-5980-292X        E-mail:        cesandova@gmail.com